Reliability Enhanced Digital Low-Dropout Regulator with Improved Transient Performance - VLSI-SoC: New Technology Enabler 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019 Cusco, Peru, October 6–9, 2019 Revised and Extended Selected Papers Access content directly
Conference Papers Year : 2020

Reliability Enhanced Digital Low-Dropout Regulator with Improved Transient Performance

Longfei Wang
  • Function : Author
  • PersonId : 1120079
Soner Seçkiner
  • Function : Author
  • PersonId : 1120080
Selçuk Köse
  • Function : Author
  • PersonId : 1120081

Abstract

Digital low-dropout voltage regulators (DLDOs) have drawn increasing attention for the easy implementation within nanoscale devices. Despite their various benefits over analog LDOs, disadvantages may arise in the form of bias temperature instability (BTI) induced performance degradation. In this Chapter, conventional DLDO operation and BTI effects are explained. Reliability enhanced DLDO topologies with performance improvement for both steady-state and transient operations are discussed. DLDOs with adaptive gain scaling (AGS) technique, where the number of power transistors that are turned on/off per clock cycle changes dynamically according to load current conditions, have not been explored in view of reliability concerns. As the benefits of AGS technique can be promising regarding DLDO transient performance improvement, a simple and effective reliability aware AGS technique with a steady-state capture feature is proposed in this work. AGS senses the steady-state output of a DLDO and reduces the gain to the minimum value to obtain a stable output voltage. Moreover, a novel unidirectional barrel shifter is proposed to reduce the aging effect of the DLDO. This unidirectional barrel shifter evenly distributes the load among DLDO output stages to obtain a longer lifetime. The benefits of the proposed techniques are explored and highlighted through extensive simulations. The proposed techniques also have negligible power and area overhead. NBTI-aware design with AGS can reduce the transient response time by 59.5% as compared to aging unaware conventional DLDO and mitigate the aging effect by up to 33%.
Fichier principal
Vignette du fichier
501403_1_En_9_Chapter.pdf (2.01 Mo) Télécharger le fichier
Origin : Files produced by the author(s)

Dates and versions

hal-03476607 , version 1 (13-12-2021)

Licence

Attribution

Identifiers

Cite

Longfei Wang, Soner Seçkiner, Selçuk Köse. Reliability Enhanced Digital Low-Dropout Regulator with Improved Transient Performance. 27th IFIP/IEEE International Conference on Very Large Scale Integration - System on a Chip (VLSI-SoC), Oct 2019, Cusco, Peru. pp.187-208, ⟨10.1007/978-3-030-53273-4_9⟩. ⟨hal-03476607⟩
26 View
29 Download

Altmetric

Share

Gmail Facebook X LinkedIn More